Bonding techniques

The AML systems provide outstanding flexibility and offer the capabilities for a wide range of bonding techniques.

The systems are ideally-suited to process development and also enable high-yield bonding in production. 

parallax background

AML Video

We prepared a video to make it easier to understand our systems.

AML Bonding Techniques: Click on the "See Details" button to read about the desired technique.

Anodic Bonding

Anodic bonding is a method of hermetically and permanently joining glass to silicon without the use of adhesives. The silicon and glass wafers are heated to a temperature (typically in the range 300-500°C depending on the type of glass) at which the alkali-metal ions in the glass become mobile. The wafers are brought into contact and a high voltage applied across them, causing the alkali cations to migrate from the interface, creating a depletion layer with high electric field strength. The resulting electrostatic attraction brings the silicon and glass into intimate contact. Further current flow of the oxygen anions from the glass to the silicon results in an anodic reaction at the interface and the result is a permanent chemical bond between the glass and silicon.

Advantages of Anodic Bonding:

  • No interlayer required
  • High strength bond - higher than the fracture strength of glass
  • Relatively low bonding temperature providing more design flexibility
  • Maintains integrity of any structures in the glass and silicon wafers
  • No measurable flow of the glass occurs, enabling sealing around previously machined grooves, cavities etc. without any loss of dimensional tolerances
  • Since glass is an electrical insulator, parasitic capacitances are minimal
  • Hermetic seals. The bonding process can readily be performed under vacuum, allowing hermetically-sealed reference cavities to be formed, or under a controlled atmosphere for the encapsulation of gases
  • The transparency of glass at visible wavelengths enables simple, highly accurate, alignment of pre-patterned glass and silicon wafers. This transparency can also be exploited via optical addressing, and allows viewing of micro-fluidic devices
  • High yield process. Tolerant to particle contamination and wafer warp: the electrostatic field generates a high clamping force which overcomes these surface irregularities
  • A low-cost wafer-scale process for first order packaging can be achieved at chip level if required
  • Multi-layer stacks allow an easy route to complex 3-D microstructures

Disadvantages:

  • Requires one wafer to be high in alkali ions, glass material
  • Not compatible with CMOS
  • Wafers are exposed to high voltage and temperature

Applications:

  • MEMS – pressure sensors, accelerometers, micropumps, actuators
  • Microfluidic devices
  • Atomic clocks

Direct (High & Low Temperature)

Any two flat, highly polished, clean surfaces will stick together if they are brought into contact. The bond is of the Van der Waal’s or hydrogen type and is of low strength, but can be significantly improved by post-bond thermal treatment. This process has been successfully exploited for MEMS fabrication, using Silicon-Silicon bonding with either plain or oxidised wafers. Although the initial bonding is normally carried out at room temperature, because of the thermal annealing treatment the technique is also referred to as silicon fusion bonding. It should be noted that the surface roughness of wafers is critical for successful direct bonding, with roughness <0.5nm required.

The high temperature (typically 1000°C) that has been required to achieve acceptable bond strength has been a limitation with regard to some applications for silicon direct bonding. However, developments in wafer surface preparation (e.g. using plasma or AML’s radical-activation) have demonstrated that high temperatures are not necessarily needed. This is important in MEMS design as it enables stress-free bonds to be achieved at temperatures that are compatible with metallisation layers and opens up a much wider range of applications for silicon direct bonding.

To reduce the risk of voids, AML wafers bonders feature a central pin used to produce a deliberate bow in the upper wafer such that the first point of contact between the wafers is at the centre. This ensures that when the bond forms it does so starting at the centre, spreading outwards towards the wafer edges and avoiding trapped air at the interface. This reduces voids in the bonding and leads to higher quality and yield bonds.

Advantages of Direct Bonding:

  • No interlayer required
  • Wafers have matching thermal expansion and therefore minimal stress in bonded wafers (if same material wafers used)
  • High temperature stability of bonded wafers
  • CMOS compatible, direct bonded wafers can be used for subsequent IC processing

Disadvantages:

  • Surface roughness requirements for direct bonding are critical, being <5nm
  • Highly sensitive to surface contamination and defects
  • Radical-activation for Low-Temperature Direct bonding:

    The AWB systems offer the option of in-situ radical-activation, enabling low-temperature bonding. Radicals from oxygen or nitrogen plasma are used for effective surface activation.

    The plasma is confined within a ring that surrounds the wafers, consisting of three mesh electrodes – the two outers are at ground potential and the inner carries the electrical excitation. The discharge is struck between this and the electrodes to either side. Only the free radicals are carried past the mesh under their own momentum, unlike in energised plasma, and the wafer surfaces are then activated without any risk of excessive etching.

    To aid the initial bonding, radical-activation is immediately followed by exposure to water vapour in order to create hydrogen bonding between the surfaces. This significantly increases the initial bond strength that is then improved further during low temperature 200–300°C annealing.

    Why in-situ? Performing the sequential activation, alignment and bonding procedures in-situ, within the same chamber and therefore under controlled conditions, avoids any risk of unwanted reactions or contamination of the bonding surfaces and results in a more controllable and reproducible process.

    Why use radicals? Activation using direct exposure to a plasma can result in damage to sensitive devices on the wafer, due to energetic ions. In addition, plasma activation has a narrow process window with too long an exposure leading to surface roughening. The use of radicals avoids such potential problems.

    Benefits of in–situ ‘RAD’ activation process:

    • Only requires low temperature annealing to achieve high bond strength (up to 2 J/m2)
    • Produces hydrophilic surfaces that attract hydrogen bonding
    • More stable, reliable and uniform bonds
    • Reduced handling of wafers - higher yields due to in-situ process
    • Wider process window for activation and subsequent bonding
    • No change in AFM topographical roughness after activation

    Applications:

    • Layer transfer - production of SOI wafers
    • MEMS fabrication – sensors, actuators
    • Hybrid bonding – Direct bonding together with Cu-Cu bonding in advanced 3D wafer stacking

Eutectic Bonding

The eutectic temperature of a two-component system corresponds to the lowest melting point composition of the two components. This property can be exploited for bonding of wafers by coating one of the wafers with one component of the system and the other wafer with the second component. When the wafers are heated and brought into contact, diffusion occurs at the interface and alloys are formed. The eutectic composition alloy at the interface has a lower melting point than the materials either side of it, and hence the melting is restricted to a thin layer. It is this melted eutectic layer that forms the bond.

The most commonly used eutectic in MEMS is the Au-Si system with a eutectic temperature of 363°C, and eutectic composition of 97.1 Wt % Au : 2.9 Wt % Si. Other common material combinations are Au-Sn, Al-Ge and Au-In.
In the case of Au-In, it may be desirable to remove the oxide from the indium and the AWB systems provide for delivery of a saturated vapour pressure of formic acid vapour into the bond chamber, for efficient in-situ removal of the oxide. Pumping down to high vacuum immediately after this step, and then aligning and contacting the wafers, ensures that the wafers are bonded without any opportunity for the oxide to regrow.

Advantages of Eutectic Bonding:

  • Hermetic sealing – low outgassing
  • Strong bond
  • Can accommodate surface topography and contamination
  • Can be used for electrical connections between wafers
  • Bond reflow temperature is significantly higher than the original bonding temperature

Disadvantages:

  • Requires accurate deposition of eutectic components (apart from Au-Si)
  • Possible spillage of the material in liquid phase
  • Diffusion barrier required
  • Al-Ge may require high force to break through the aluminium oxide

Applications:

  • MEMS
  • WLP - vacuum or pressure encapsulation

Thermocompression

Thermo-compression bonding is joining of two surfaces via the welding of a layer of soft metals on each surface. The most common metal for MEMS applications is gold, together with a suitable adhesion layer. Moderate temperatures (~300°C) and high contact pressures are required and the process can readily be carried out by AML’s AWB wafer bonders. The technique offers the benefit of very low outgassing and is therefore attractive for the sealing of evacuated cavities.

Cu-Cu Bonding, and removal of surface oxide

Copper-copper bonding is a thermocompression bonding process that has traditionally relied on high contact force to break through the oxide layers on the copper, to achieve the direct copper-copper contact that is required to produce low-resistance ohmic contacts. This technique is attractive for 3D integration. However, the required force can be considerably reduced by an in-situ chemistry step to remove the oxide, using either forming gas or formic acid vapour. The AWB systems offer an option for the delivery of a saturated vapour pressure of formic acid vapour into the bond chamber - pumping down to high vacuum immediately after this step, and then aligning and contacting the wafers, ensures that the wafers are bonded without any opportunity for the copper oxide to regrow. The use of this in-situ chemistry step to remove the surface oxide enables bonding at lower temperatures and forces than would otherwise be the case.

Advantages of thermo-compression bonding:

  • Maintains integrity of structures in substrates
  • Good thermal coupling between the substrates
  • Simple, pre-bonding metallisation process

Disadvantages:

  • Requires very good surface topography, for intimate contact and hermetic sealing
  • Requires high bonding forces
  • Lateral feedthroughs for electrical interconnections cannot be used

Applications:

  • MEMS
  • 3D stacking
  • WLP

SLID | TLP (Solid-Liquid Interdiffusion)

Solid Liquid Interdiffusion Bonding, also known as Transient Liquid-Phase bonding, is based on the rapid formation of Intermetallic Compounds (IMCs) between two metals: one low-melting point component (e.g. Sn) and one high-melting component (e.g. Cu, Au). The bonding is typically carried out at moderate temperatures between 250-350°C, and the reflow temperature is significantly higher than the original bonding temperature. The most common metal combinations are Cu-Sn, Au-Sn, Au-In.

The AWB systems offer an in-situ oxide-removal process, effective for copper and indium. Prior to bonding, the wafers are mounted on separate upper and lower platens and are held apart by up to 30mm, and this allows chemical treatment of the wafer surfaces: formic acid vapour can be delivered into the bonding chamber for efficient removal of the oxide. This in-situ treatment can immediately be followed by vacuum pump-down, alignment of the wafers, and then contacting and bonding without any opportunity for regrowth of oxide.

Advantages of SLID/TLP bonding:

  • Forms a hermetic seal
  • Maintains integrity of structures in substrates
  • Practical/achievable requirements for surface finish
  • Liquid phase allows for significant surface topography/roughness
  • Bond reflow temperature significantly higher than the bonding temperature

Disadvantages:

  • A liquid phase is formed, and can flow into unwanted areas
  • Additional barrier layer on substrates is required
  • Lateral feedthroughs for electrical interconnections cannot be used

Applications:

  • Wafer level packaging of MEMS e.g. inertial sensors, thermal imagers, pressure sensors

Adhesive (Thermal & UV Cure)

Various adhesives (epoxies, silicones, photoresists, polyimides, BCB, etc.) can be used to form wafer bonds.The adhesive can be applied by spinning, spraying, etc., and the process typically requires some heating (typically between room temperature and 400°C, depending on the adhesive/polymer being used) and pressure. AML also offers an in-situ UV cure system for use with appropriate adhesives, which provides rapid curing for high throughputs.

The technique is tolerant to particles and is useful when the wafers have severe temperature limitations.

Advantages of adhesive bonding:

  • Relatively low bonding temperatures (room temperature for UV-cure adhesives)
  • Compatible with CMOS
  • Various material combinations are possible
  • Tolerates topography and particle contamination
  • Wide range of adhesive materials available, including high transparency materials
  • Simple and low-cost process
  • No need for electric voltage or current

Disadvantages

  • Intermediate layer
  • Non hermetic seal, outgassing of organic materials
  • Material flow may interfere with the device area and can cause issues with alignment

Applications:

  • MEMS, 3D Integrated Circuits
  • Capping of BAW and SAW devices
  • Optical devices
  • Microfluidic devices
  • WLP
  • Temporary bonding

Glass Frit

Low melting point glasses have been used in industry for many decades to form hermetic seals. The bonding process is typically carried out in the temperature range 350-650°C and with relatively low contact pressures. A wide range of sealing glasses are available, and the thermal expansion coefficient of the selected glass would usually be between the two values for the wafers being bonded. The glass layer can be applied as a preform, spin-on, screen print, sputtered film etc., and patterned to define the areas to be sealed.
The technique has been used for the production of pressure sensors and other MEMS devices, and the process can be carried out under vacuum, for creating sealed, evacuated cavities. The process requires reliable control of temperature profiles and applied forces, and AML wafer bonders are used to achieve high quality, in-situ aligned bonds. In comparison with anodic and direct bonding, the glass frit process relies on glass flow to form a seal and hence suffers poorer dimensional control of micro-machined cavities etc. The process is particularly suited to sealing over surface features.

Advantages of glass frit bonding:

  • Suited to vacuum sealing
  • Tolerates high topography of the substrates
  • Can be used to bond a wide variety of materials
  • Low stress bond

Disadvantages:

  • Intermediate layer
  • Requires accurate process control, due to frit flow and potential structure contamination
  • Process temperature 350-600°C
  • Relatively long cycle time

Applications:

  • MEMS
  • Microfluidic devices
  • SAW devices
  • Vacuum encapsulation

Hybrid Bonding

Hybrid bonding is a combination of direct bonding of dielectric SiO2–SiO2 and thermocompression bonding of Cu-Cu metal, used to form interconnections. Hybrid bonding connects dies in packages via Cu pads that are embedded in the bond interface. It is very widely used in advanced 3D stacking and one of its main advantages is enabling a very low pitch, down to 10µm. This bonding method is an alternative to making vertical connections by microbumps that have limitations on size.

Advantages of hybrid bonding:

  • Very low pitch achievable – higher structure density
  • Increased power
  • Extended bandwidth
  • No need for bumps, eliminates signal losses

Disadvantages:

  • Surface finish and planarization are critical – high CMP requirements
  • Very tight dimensional process control required, due to Cu expansion

Applications:

  • Advanced 3D device stacking
  • CMOS image sensor devices